Giter Club home page Giter Club logo

custom_uvm_report_server's Introduction

The main file in this project is custom_report_server.sv. The rest of the files are from the examples/simple/hello_world example of UVM 1.2 distribution.

A custom UVM report server with better looking UVM message format, indentation and colorization to highlight warnings and errors.

Note about running with Cadence Xcelium

While the below instructions and screen captures are based on Synopsys VCS simulation, the same work using Cadence Incisive too; just replace comp, run and clean targets in the make command with nccomp, ncrun and ncclean respectively.

Instructions to run with UVM 1.2 (default) and Synopsys VCS

  • Download UVM 1.2 source code.
  • Extract it and cd to uvm-1.2/examples/simple/ directory
  • Do git clone https://github.com/kaushalmodi/custom_uvm_report_server.git
  • Ensure that vcs is available in the shell environment $PATH
  • cd to uvm-1.2/examples/simple/custom_uvm_report_server/

Run without the custom report server

make clean comp run EXTRA_ARGS=+define+UVM_REPORT_DEFAULT

Run with the custom report server

make clean comp run

Result

Using the default report server

img/compare_1p2_default.png

Using the custom_report_server

img/compare_1p2_custom.png

Instructions to run with UVM 1.1d and Synopsys VCS

  • First follow the steps above for UVM 1.2 download and git clone setup.
  • Download UVM 1.1d source code.
  • Extract the uvm-1.1d/ directory from it in the same parent directory in which you extracted the uvm1.2/ directory.
  • cd to uvm-1.2/examples/simple/custom_uvm_report_server/ (Yes, in the ~uvm-1.2/~ dir, it is not a typo.)

Run without the custom report server

make clean comp run EXTRA_ARGS=+define+UVM_REPORT_DEFAULT UVM_VERSION=1p1d

Run with the custom report server

make clean comp run UVM_VERSION=1p1d

Result

Using the default report server

img/compare_1p1d_default.png

Using the custom_report_server

img/compare_1p1d_custom.png

Instructions to run with Mentor Graphics ModelSim/QuestaSim

  • Download UVM 1.2 source code.
  • Extract it and cd to uvm-1.2/examples/simple/ directory
  • Do git clone https://github.com/kaushalmodi/custom_uvm_report_server.git
  • cd to custom_uvm_report_server/

Run with the custom report server

make mgall UVM_VERSION=1p1d

Run without the custom report server

make mgall EXTRA_RUN_ARGS=+UVM_REPORT_DEFAULT UVM_VERSION=1p1d

custom_uvm_report_server's People

Contributors

kaushalmodi avatar scottj97 avatar yuravg avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar

custom_uvm_report_server's Issues

Unexplained behavior with max_quit_count

Using UVM 1.2, on the default uvm_report_server, I use the max_quit_count feature:

uvm_report_server report_server = uvm_report_server::get_server();                                                                               
report_server.set_max_quit_count(3); // stop after 3 UVM_ERRORs reported                                                                           

When I use your custom report server, the max quit count feature no longer seems to take effect. The simulation keeps reporting dozens of errors, until something else causes it to finish.

custom_report_server report_server;                                                                                                               
report_server = new("report_server");                                                                                                             
report_server.set_max_quit_count(3); // stop after 3 UVM_ERRORs reported                                                                           
uvm_report_server::set_server(report_server);                                                                                                     

I am assuming the set_server() call replaces the default server with the custom one. Yet, when I set the max_quit_count on the original one, before I replace it, this takes effect:

custom_report_server report_server;                                                                                                               
uvm_report_server original_report_server = uvm_report_server::get_server();                                                                       
original_report_server.set_max_quit_count(3); // stop after 3 UVM_ERRORs reported                                                                  

report_server = new("report_server");
uvm_report_server::set_server(report_server);

Do you understand why this would work, and not the second one?

Behavior of table_print_detected?

In the included demo code, it seems the table_print_detected logic is never activated. The table that gets printed in producer.sv does not go through this custom_report_server at all, it seems.

I'm trying to understand what the intended behavior is. I tried modifying the producer.sv so it uses `uvm_info to print the table, like so:

`uvm_info("producer", $sformatf("Sending %s",p.get_name()), UVM_MEDIUM)                                              
`uvm_info("scottj", p.sprint(), UVM_HIGH) // instead of p.print()

And now the table_print_detected logic is activated, but the output is a little messed up:

   UVM_INFO @      0ns  Sending producer2-0 :producer
   UVM_INFO @      0ns  ---------------------------------------                                                               
Name         Type           Size  Value
---------------------------------------
producer2-0  packet         -     -
  addr       integral       32    'h1a
  initiator  producer #(T)  -1    @1942
---------------------------------------  :scottj
                          top.producer2, producer.sv(69)
   UVM_INFO @      0ns  Starting. :producer

As you can see, the first line is not lined up with the rest of the table. (Even with +UVM_REPORT_DEFAULT, it is similarly misaligned.)

I can see that without the table_print_detected logic, the word wrapping messes up the table far more, so it is useful, but I'm curious if this is really the intended behavior?

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.