Giter Club home page Giter Club logo

chips-demo's People

Contributors

pkerling avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar

chips-demo's Issues

Error using design with kintex-7

Hi I am trying to use your codes with Kintex-7 board. But I am getting following errors during implementation.
[DRC 23-20] Rule violation (INBB-3) Black Box Instances - Cell 'ethernet_with_fifos_inst/ethernet_inst/mii_gmii_io_inst/mii_rx_clk_BUFIO2_inst' of type 'ethernet_with_fifos_inst/ethernet_inst/mii_gmii_io_inst/mii_rx_clk_BUFIO2_inst/BUFIO2' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.

I replaced bufio2_inst in mii_gmii_io_spartarn6 with
mii_rx_clk_BUFIO_inst : BUFIO
port map (
O => clock_mii_rx_io, -- 1-bit output: Clock output (connect to I/O clock loads).
I => clock_mii_rx_ibufg -- 1-bit input: Clock input (connect to an IBUF or BUFMR).
);

clock_mii_rx_div <= clock_mii_rx_io;

after again running implementation I get error
[DRC 23-20] Rule violation (INBB-3) Black Box Instances - Cell 'ethernet_with_fifos_inst/ethernet_inst/mii_gmii_io_inst/mii_rx_dv_buffer_inst/delay_gen.fixed_input_delay_inst/mii_rx_dv_IODELAY2_inst' of type 'ethernet_with_fifos_inst/ethernet_inst/mii_gmii_io_inst/mii_rxd_buffer_generate[3].mii_rxd_buffer_inst/delay_gen.fixed_input_delay_inst/mii_rx_dv_IODELAY2_inst/IODELAY2' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.
kindly suggest possible alternates for kintex -7 series

Warnings

Hello,
I am trying to generate the bit file for the device XC6SLX45 (the same as yours). While running "Generate Programming File" I become the following warnings:


WARNING:HDLCompiler:1127 - "/home/ise/XILINX/DemoGit/demo1/ethernet_mac/miim_control.vhd" Line 121: Assignment to init_done ignored, since the identifier is never used
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3693: Result of 13-bit expression is truncated to fit in 12-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3720: Result of 32-bit expression is truncated to fit in 12-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3747: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3752: Result of 32-bit expression is truncated to fit in 12-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3764: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3805: Result of 32-bit expression is truncated to fit in 12-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3820: Result of 32-bit expression is truncated to fit in 12-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3834: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3858: Result of 32-bit expression is truncated to fit in 12-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3867: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3868: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 3970: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 4019: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 4035: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 4036: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 4037: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/server.v" Line 4039: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2743: Result of 12-bit expression is truncated to fit in 11-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2770: Result of 32-bit expression is truncated to fit in 11-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2797: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2802: Result of 32-bit expression is truncated to fit in 11-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2814: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2825: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2837: Result of 32-bit expression is truncated to fit in 11-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2852: Result of 32-bit expression is truncated to fit in 11-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2970: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2971: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2978: Result of 32-bit expression is truncated to fit in 11-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 2997: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 3080: Result of 32-bit expression is truncated to fit in 16-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 3098: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 3099: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 3100: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 3101: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 3103: Result of 16-bit expression is truncated to fit in 1-bit target.
WARNING:HDLCompiler:413 - "/home/ise/XILINX/DemoGit/demo1/source/user_design.v" Line 3105: Result of 16-bit expression is truncated to fit in 1-bit target.
    WARNING:Xst:2404 -  FFs/Latches <OUTPUT_LEDS_ACK<0:0>> (without init value) have a constant value of 1 in block <GigaBee>.
    WARNING:Xst:2404 -  FFs/Latches <INPUT_SPEED_STB<0:0>> (without init value) have a constant value of 1 in block <GigaBee>.
    WARNING:Xst:2404 -  FFs/Latches <INPUT_SPEED<15:2>> (without init value) have a constant value of 0 in block <GigaBee>.
WARNING:Xst:647 - Input <rgmii_rx_ctl_i> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:653 - Signal <rgmii_tx_ctl_o> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:647 - Input <rgmii_rx_ctl_i> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:653 - Signal <rgmii_tx_ctl_o> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:2999 - Signal 'instructions', unconnected in block 'server', is tied to its initial value.
WARNING:Xst:3035 - Index value(s) does not match array range for signal <memory_2>, simulation mismatch.
WARNING:Xst:3035 - Index value(s) does not match array range for signal <instructions>, simulation mismatch.
WARNING:Xst:3035 - Index value(s) does not match array range for signal <registers>, simulation mismatch.
WARNING:Xst:2999 - Signal 'instructions', unconnected in block 'user_design', is tied to its initial value.
WARNING:Xst:3035 - Index value(s) does not match array range for signal <memory_2>, simulation mismatch.
WARNING:Xst:3035 - Index value(s) does not match array range for signal <instructions>, simulation mismatch.
WARNING:Xst:3035 - Index value(s) does not match array range for signal <registers>, simulation mismatch.
WARNING:Xst:2677 - Node <address_2_12> of sequential type is unconnected in block <SERVER_INST_1>.
WARNING:Xst:2677 - Node <address_2_13> of sequential type is unconnected in block <SERVER_INST_1>.
WARNING:Xst:2677 - Node <address_2_14> of sequential type is unconnected in block <SERVER_INST_1>.
WARNING:Xst:2677 - Node <address_2_15> of sequential type is unconnected in block <SERVER_INST_1>.
WARNING:Xst:2677 - Node <address_2_12> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <address_2_13> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <address_2_14> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <address_2_15> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_4> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_5> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_6> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_7> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_8> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_9> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_10> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_11> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_12> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_13> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_14> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_15> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_8> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_9> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_10> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_11> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_12> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_13> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_14> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_15> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <address_2_12> of sequential type is unconnected in block <server>.
WARNING:Xst:2677 - Node <address_2_13> of sequential type is unconnected in block <server>.
WARNING:Xst:2677 - Node <address_2_14> of sequential type is unconnected in block <server>.
WARNING:Xst:2677 - Node <address_2_15> of sequential type is unconnected in block <server>.
WARNING:Xst:2677 - Node <address_2_12> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <address_2_13> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <address_2_14> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <address_2_15> of sequential type is unconnected in block <user_design>.
WARNING:Xst:1710 - FF/Latch <miim_data_write_o_1> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_2> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_3> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_4> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_5> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_7> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_10> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_11> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_13> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <miim_data_write_o_14> (without init value) has a constant value of 0 in block <miim_control>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <tx_padding_required_6> has a constant value of 0 in block <framing>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <timer_0> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_1> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_2> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_3> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_4> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_5> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_6> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_7> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_8> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_9> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_10> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_11> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_12> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_13> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_14> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <timer_15> of sequential type is unconnected in block <user_design>.
WARNING:Xst:2677 - Node <data_read_o_0> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_1> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_2> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_3> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_4> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_5> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_7> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_9> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_10> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_12> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_13> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_14> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <data_read_o_15> of sequential type is unconnected in block <miim_gen.miim_inst>.
WARNING:Xst:2677 - Node <link_up_o> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_we_o> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_write_data_o_0> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_write_data_o_1> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_write_data_o_2> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_write_data_o_3> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_write_data_o_4> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_write_data_o_5> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_write_data_o_6> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <debug_fifo_write_data_o_7> of sequential type is unconnected in block <miim_gen.miim_control_inst>.
WARNING:Xst:2677 - Node <s_output_leds_4> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_5> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_6> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_7> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_8> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_9> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_10> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_11> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_12> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_13> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_14> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_leds_15> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_8> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_9> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_10> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_11> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_12> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_13> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_14> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:2677 - Node <s_output_rs232_tx_15> of sequential type is unconnected in block <USER_DESIGN_INST_1>.
WARNING:Xst:1293 - FF/Latch <data_bit_position_4> has a constant value of 0 in block <miim_gen.miim_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <after_ack_state_3> (without init value) has a constant value of 0 in block <miim_gen.miim_control_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <register_address_4> (without init value) has a constant value of 0 in block <miim_gen.miim_control_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <BAUD_COUNT_5> (without init value) has a constant value of 0 in block <SERIAL_INPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <BAUD_COUNT_6> (without init value) has a constant value of 0 in block <SERIAL_INPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <BAUD_COUNT_7> (without init value) has a constant value of 0 in block <SERIAL_INPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <BAUD_COUNT_8> (without init value) has a constant value of 0 in block <SERIAL_INPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <BAUD_COUNT_9> (without init value) has a constant value of 0 in block <SERIAL_INPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <BAUD_COUNT_10> (without init value) has a constant value of 0 in block <SERIAL_INPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <BAUD_COUNT_11> (without init value) has a constant value of 0 in block <SERIAL_INPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <BAUD_COUNT_9> has a constant value of 0 in block <SERIAL_OUTPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <BAUD_COUNT_10> has a constant value of 0 in block <SERIAL_OUTPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <BAUD_COUNT_11> has a constant value of 0 in block <SERIAL_OUTPUT_INST_1>. This FF/Latch will be trimmed during the optimization process.
WARNING:NgdBuild:452 - logical net 'N4' has no driver
WARNING:NgdBuild:452 - logical net 'ethernet_with_fifos_inst/link_up_o' has no
   driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<15>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<14>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<13>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<12>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<10>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<9>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<7>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<5>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<4>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<3>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<2>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<1>' has no driver
WARNING:NgdBuild:452 - logical net
   'ethernet_with_fifos_inst/ethernet_inst/miim_data_read<0>' has no driver
WARNING:PhysDesignRules:367 - The signal
   <ethernet_with_fifos_inst/ethernet_inst/miim_gen.miim_inst/GLOBAL_LOGIC0> is
   incomplete. The signal does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal
   <ethernet_with_fifos_inst/sync_rx_reset_inst/GLOBAL_LOGIC0> is incomplete.
   The signal does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal
   <ethernet_with_fifos_inst/sync_tx_reset_inst/GLOBAL_LOGIC0> is incomplete.
   The signal does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal
   <ethernet_with_fifos_inst/rx_fifo_inst/sync_req_inst/GLOBAL_LOGIC0> is
   incomplete. The signal does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal
   <ethernet_with_fifos_inst/rx_fifo_inst/sync_reset_inst/GLOBAL_LOGIC0> is
   incomplete. The signal does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal
   <ethernet_with_fifos_inst/rx_fifo_inst/sync_ack_inst/GLOBAL_LOGIC0> is
   incomplete. The signal does not drive any load pins in the design.
WARNING:PhysDesignRules:2410 - This design is using one or more 9K Block RAMs
   (RAMB8BWER).  9K Block RAM initialization data, both user defined and
   default, may be incorrect and should not be used.  For more information,
   please reference Xilinx Answer Record 39999.

Is that normal ? I did not change anything. I just followed these steps:
"Regenerate All Cores" and then "Generate Programming File"

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.