Giter Club home page Giter Club logo

verilog-mips-cpu's Introduction

Overview & Introduction

This is a repository for the copy of submitted and accepted project files in the "Computer Organization" course in School of Computer Science and Engineering(SCSE),Beihang University. All the projects files in this repository are finished during the autumn semester of 2018-2019(1st semester of Grade 2)
This repository contains the following projects:

*Project3: Monocycle CPU implemented and simulated by Logisim

*Project4: Monocycle CPU implemented and simulated by Verilog (Xinlix ISE and ISIM)

*Project5: 5-stage Pipeline CPU implemented and simulated by Verilog (Xinlix ISE and ISIM)
-achieved hazard control (stall/forward) and branch delayed slot
-support a mips instruction set containing 11 instructions

*Project6 (for HAC Honor College(23rd faculty)): 5-stage Pipeline CPU (supporting Interrupt Request and Exception )
-implemented and simulated by Verilog (Xinlix ISE and ISIM)
-supporting Interrupt Request and Exception

*Project6 (for SCSE (6th faculty)): 5-stage Pipeline CPU implemented and simulated by Verilog (Xinlix ISE and ISIM)
-support a mips instruction set containing 50 instructions
-support integer multiplication and division

*Project7: 5-stage Pipeline CPU (the combination of P6 HAC version and Non-HAC version)
supporting Interrupt Request and Exception
-support a mips instruction set containing 50 instructions
-support integer multiplication and division

*Project 8: 5-stage Pipeline CPU (FPGA,hardware and software interface)
-support I/O
--support uart transmission( implementing this function with interrupt request)
--support 8-digit digital tube
--support user keyboard
-contains 3 mips code which implement a calculator, a uart-transmission test,a counter on the 5-stage CPU
-bit files are generated ,loaded and tested on Xilinx Spartan6 XC6SLX100 FPGA board,speed leve 2,package FGG676

verilog-mips-cpu's People

Contributors

ryan0v0 avatar

Watchers

 avatar  avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.