Giter Club home page Giter Club logo

mirny's Introduction

Mirny CPLD gateware

Hardware

Hardware

Mirny Schematics

Building

Needs migen and Xilinx ISE. Assumes ISE is installed in /opt/Xilinx.

make

Flashing

With Digilent JTAG HS2 cable:

  • download firmware to dongle. Manually (adjust USB bus as needed):
/sbin/fxload -t fx2 -I /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/xusb_xp2.hex -D /dev/bus/usb/001/*`cat /sys/bus/usb/devices/1-3/devnum`

or automatically via the udev rule:

SUBSYSTEM=="usb", ACTION="add", ATTR{idVendor}=="0403", ATTR{idProduct}=="6014", ATTR{manufacturer}=="Digilent", RUN+="/usr/bin/fxload -v -t fx2 -I /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/xusb_xp2.hex -D $tempnode"
  • install xc3sprog

  • flash_xc3.sh jtaghs2

  • look for Verify: Success

License

GPLv3+

mirny's People

Contributors

airwoodix avatar jordens avatar

Watchers

 avatar  avatar  avatar

mirny's Issues

Mirny CPLD gateware features

Hardware

https://github.com/sinara-hw/mirny/wiki

CPLD Gateware design

  • SPI prefix-based based router (4 PLL, 4 ATT, 4 registers)
  • Use only one CS, one EEM
  • Independent access to RF switches as high resolution, high speed, contention-free RTIO TTL channels
  • Configure RF switches via dedicated SPI register to allow saving RTIO/IO resources
  • Read MUXOUT via EEM TTL RTIO (for precision timing measurements) or via SPI register
  • IFC_MODE read-out
  • Green LEDs driven from RF switches
  • Red LEDs driven from !MUXOUT or bypassed
  • Individual access to attenuators, not daisy-chained
  • Fully configurable clocking, chip-enables, ATT reset, LVDS modes, MUXOUT routing modes
  • Configurable mezzanine IO, bidirectional, GPIO register style, with option of routing 4 EEM IO to the mezzanine
  • Documentation, flashing/deployment scripts

Status

This code and rudimentary ARTIQ coredevice support (without PLL register abstraction) are available for funding.

Gateware in release v0.3

Would it be possible to post the built gateware in the latest release files (v0.3), similar to the previous release (v0.2.4)?

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.