Giter Club home page Giter Club logo

aichip_paper_list's Introduction

Table of Contents

About This Project

This project aims to collect and summarize the AI-related papers for readers who are interested in AI research in academia. We plan to collect all the AI-related papers in the top-tier architecture conferences such as ISCA, MICRO and HPCA in recent years. Now, we have collected them in ISCA from 2015 to 2019 with some basic analysis. These papers will be listed below and you can find our brief summaries in "/Summarys/#year_of_the_paper/". We are glad to have your suggestions of anything about this project!

Some Statistics of the Papers

1. The yearly paper count (now only based on ISCA 2015-2019 statistics)


The trend of AI is generaly increasing. But now it slightly slow down in 2019. And we can find out that year 2018 takes almost half of the counts, implicating the hottest year of AI accelerators.

2. The countries and regions that contribute (now only based on ISCA 2015-2019 statistics)


America is definitely the origin area of most papers. China and North Korea are still two chasing character in AI research though they have done somg terrific ahievements.

3. Top researchers and their information (now only based on ISCA 2015-2019 statistics)


Here are the names appear most frequently on the collected papers. We collect thier public information and list below to help you find the leader researchers in this area.
Rank Author Counts of paper Region Lab or Corp.
1 Hadi Esmaeilzadeh 4 US Alternative Computing Technologies (ACT) Laboratory, University of California
2 Mingcong Song 3 US Intelligent Design of Efficient Architectures Laboratory (IDEAL), University of Florida
2 Reetuparna Das 3 US EECS department, University of Michigan
2 Tao Li 3 US Intelligent Design of Efficient Architectures Laboratory (IDEAL), University of Florida
2 Tianshi Chen 3 China Cambricon Technologies Corporation Limited(寒武纪科技)
2 Yunji Chen 3 China Institute of Computing Technology, Chinese Academy of Sciences
2 Zidong Du 3 China Institute of Computing Technology, Chinese Academy of Sciences

The Chronological Listing of Papers


Now we list all the papers we have collected. If it is linkable, it is linked to the summary of the paper and the summaries are still updating.

ISCA

2015

Tags - Title Authors Area Organization
Tags 1 ShiDianNao: Shifting Vision Processing Closer to the Sensor Zidong Du China ICT

2016

Tags - Title Authors Area Organization
Tags 1 Cnvlutin: Ineffectual-Neuron-Free Deep Neural Network Computing Jorge Albericio, Tayler Hetheringto Canada University of Toronto, University of British Columbia
Tags 2 ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars Ali Shafiee, Vivek Srikumar US University of Utah,Hewlett Packard Labs
Tags 3 PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory Ping Chi, Yuan Xie US University of California
Tags 4 EIE: Efficient Inference Engine on Compressed Deep Neural Network Song Han, William J. Dally US Stanford University, NVIDIA
Tags 5 RedEye: Analog ConvNet Image Sensor Architecture for Continuous Mobile Robert LiKamWa, Lin Zhong US Rice University
Tags 6 Minerva: Enabling Low-Power, Highly-Accurate Deep Neural Network Accelerators Brandon Reagen, David Brooks US Harvard University
Tags 7 Eyeriss: A Spatial Architecture for Energy-Efficient Dataflow for Convolutional Neural Networks Yu-Hsin Chen, Vivienne Sze US MIT, NVIDIA
Tags 8 Neurocube: A Programmable Digital Neuromorphic Architecture with High-Density 3D Memory Duckhwan Kim, Saibal Mukhopadhyay US Georgia Institute of Technology
Tags 9 Cambricon: An Instruction Set Architecture for Neural Networks Shaoli Liu, Tianshi Chen China CAS, Cambricon Ltd.
Tags 10 Energy Efficient Architecture for Graph Analytics Accelerators Muhammet Mustafa Ozdal, Ozcan Ozturk Turkey Bilkent University
Tags 11 Accelerating Markov Random Field Inference Using Molecular Optical Gibbs Sampling Units Siyang Wang, Alvin R. Lieberk US Duke University

2017

Tags - Title Authors Area Organization
Tags 1 In-Datacenter Performance Analysis of a Tensor Processing Unit Norman P. Jouppi US Google
Tags 2 Maximizing CNN Accelerator Efficiency Through Resource Partitioning Yongming Shen US Stony Brook University
Tags 3 SCALEDEEP: A Scalable Compute Architecture for Learning and Evaluating Deep Networks Swagath Venkataramani, Anand Raghunathan US Purdue University, Parallel Computing Lab, Intel Corporation
Tags 4 Scalpel: Customizing DNN Pruning to the Underlying Hardware Parallelism Jiecao Yu, Scott Mahlke US University of Michigan, ARM
Tags 5 SCNN: An Accelerator for Compressed-sparse Convolutional Neural Networks Angshuman Parashar, William J. Dally US NVIDIA, MIT, UC-Berkeley, Stanford University
Tags 6 Stream-Dataflow Acceleration Tony Nowatzki US University of California, University of Wisconsin
Tags 7 Understanding and Optimizing Asynchronous Low-Precision Stochastic Gradient Descent Christopher De Sa, Kunle Olukotun US Stanford University

2018

Tags - Title Authors Area Organization
Tags 1 A Configurable Cloud-Scale DNN Processor for Real-Time AI Jeremy Fowers, Doug Burger US Microsoft
Tags 2 PROMISE: An End-to-End Design of a Programmable Mixed-Signal Accelerator for Machine- Learning Algorithms Prakalp Srivastava, Mingu Kang US University of Illinois at Urbana-Champaign, IBM
Tags 3 Computation Reuse in DNNs by Exploiting Input Similarity Marc Riera, Antonio Gonza ?lez Spain Universitat Polite ?cnica de Catalunya
Tags 4 GenAx: A Genome Sequencing Accelerator Daichi Fujiki, Satish Narayanasamy US University of Michigan
Tags 5 Flexon: A Flexible Digital Neuron for Efficient Spiking Neural Network Simulations Dayeol Lee, Jangwoo Kim North Korea,US Seoul National University, University of California
Tags 6 Space-Time Algebra: A Model for Neocortical Computation James E. Smith US University of Wisconsin-Madison
Tags 7 Architecting a Stochastic Computing Unit with Molecular Optical Devices Xiangyu Zhang, Alvin R. Lebeck US Duke University, Parabon Labs
Tags 8 RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Shaojun Wei China Tsinghua University
Tags 9 Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks Charles Eckert, Reetuparna Das US University of Michigan, Intel Corporation
Tags 10 RoboX: An End-to-End Solution to Accelerate Autonomous Control in Robotics Jacob Sacks, Hadi Esmaeilzadeh US Georgia Institute of Technology, University of California, San Diego
Tags 11 EVA2: Exploiting Temporal Redundancy in Live Computer Vision Mark Buckler, Adrian Sampson US Cornell University
Tags 12 Euphrates: Algorithm-SoC Co-Design for Low-Power Mobile Continuous Vision Yuhao Zhu, Paul Whatmough US University of Rochetster, ARM Research
Tags 13 GANAX: A Unified MIMD-SIMD Acceleration for Generative Adversarial Networks Amir Yazdanbakhsh, Hadi Esmaeilzadeh US Georgia Institute of Technology, UC San Diego, Qualcomm Technologies, Inc.
Tags 14 SnaPEA: Predictive Early Activation for Reducing Computation in Deep Convolutional Neural Networks Vahideh Akhlaghi, Hadi Esmaeilzadeh US Georgia Institute of Technology, UC San Diego, Qualcomm Technologies, Inc.
Tags 15 UCNN: Exploiting Computational Reuse in Deep Neural Networks via Weight Repetition Kartik Hegde, Christopher W. Fletche US University of Illinois at Urbana-Champaign, NVIDIA
Tags 16 Energy-Efficient Neural Network Accelerator Based on Outlier-Aware Low-Precision Computation Eunhyeok Park, Sungjoo Yoo North Korea Seoul National University
Tags 17 Prediction Based Execution on Deep Neural Networks Mingcong Song, Tao Li US University of Flirida
Tags 18 Bit Fusion: Bit-Level Dynamically Composable Architecture for Accelerating Deep Neural Network Hardik Sharma, Hadi Esmaeilzadeh US Georgia Institute of Technology, University of California
Tags 19 Gist: Efficient Data Encoding for Deep Neural Network Training Animesh Jain, Gennady Pekhimenko US,Canada Microsoft Research, University of Toronto, Univerity of Michigan
Tags 20 The Dark Side of DNN Pruning Reza Yazdani, Antonio Gonza ?lez Spain Universitat Polite ?cnica de Catalunya

2019

Tags - Title Authors Area Organization
Tags 1 3D-based Video Recognition Acceleration by Leveraging Temporal Locality Huixiang Chen, Tao Li US University of Florida
Tags 2 A Stochastic-Computing based Deep Learning Framework using Adiabatic Quantum-Flux-Parametron Superconducting Technology Ruizhe Cai, Ao Ren, Nobuyuki Yoshikawa, Yanzhi Wang US Northeastern University
Tags 3 Accelerating Distributed Reinforcement Learning with In-Switch Computing Youjie Li, Jian Huang US UIUC
Tags 4 Eager Pruning: Algorithm and Architecture Support for Fast Training of Deep Neural Networks Jiaqi Zhang, Tao Li US University of Florida
Tags 5 Laconic Deep Learning Inference Acceleration Sayeh Sharify, Andreas Moshovos Canada University of Toronto
Tags 6 MnnFast: A Fast and Scalable System Architecture for Memory-Augmented Neural Networks Hanhwi Jang, Jangwoo Kim North Korea POSTECH, Seoul National University
Tags 7 Sparse ReRAM Engine: Joint Exploration of Activation and Weight Sparsity in Compressed Neural Networks Tzu-Hsien Yang China Twain National Taiwan University, Academia Sinica, Macronix International Co., Ltd.
Tags 8 TIE: Energy-efficient Tensor Train-based Inference Engine for Deep Neural Network Chunhua Deng, Bo Yuan US Rutgers University
Tags 9 FloatPIM_ in-memory acceleration of deep neural network training with high precision Mohsen Imani, Tajana Rosing US UC San Diego
Tags 10 Cambricon-F_ machine learning computers with fractal von neumann architecture Yongwei Zhao, Yunji Chen China ICT, Cambricon
Tags 11 Master of none acceleration_ a comparison of accelerator architectures for analytical query processing Andrea Lottarini, Martha A. Kim US Google, Columbia University

ASPLOS

2014

Tags - Title Authors Area Organization
Tags DianNao: A Small-Footprint High-Throughput Accelerator for Ubiquitous Machine-Learning. CAS, Inria

2015

Tags - Title Authors Area Organization
Tags PuDianNao: A Polyvalent Machine Learning Accelerator. CAS, USTC, Inria

2017

Tags - Title Authors Area Organization
Tags Tetris: Scalable and Efficient Neural Network Acceleration with 3D Memory. Stanford University
Tags SC-DCNN: Highly-Scalable Deep Convolutional Neural Network using Stochastic Computing. Syracuse University, USC, The City College of New York

2018

Tags - Title Authors Area Organization
Tags Bridging the Gap Between Neural Networks and Neuromorphic Hardware with A Neural Network Compiler. Tsinghua, UCSB
Tags MAERI: Enabling Flexible Dataflow Mapping over DNN Accelerators via Reconfigurable Interconnects. Georgia Tech
Tags VIBNN: Hardware Acceleration of Bayesian Neural Networks. Syracuse University, USC
Tags Exploiting Dynamical Thermal Energy Harvesting for Reusing in Smartphone with Mobile Applications. Guizhou University, University of Florida
Tags Potluck: Cross-application Approximate Deduplication for Computation-Intensive Mobile Applications. Yale

2019

Tags - Title Authors Area Organization
Tags FA3C: FPGA-Accelerated Deep Reinforcement Learning. Hongik University, SNU
Tags PUMA: A Programmable Ultra-efficient Memristor-based Accelerator for Machine Learning Inference. Purdue, UIUC, HP
Tags FPSA: A Full System Stack Solution for Reconfigurable ReRAM-based NN Accelerator Architecture. THU, UCSB
Tags Bit-Tactical: A Software/Hardware Approach to Exploiting Value and Bit Sparsity in Neural Networks. Toronto, NVIDIA
Tags TANGRAM: Optimized Coarse-Grained Dataflow for Scalable NN Accelerators. Stanford
Tags Packing Sparse Convolutional Neural Networks for Efficient Systolic Array Implementations: Column Combining Under Joint Optimization. Harvard
Tags Split-CNN: Splitting Window-based Operations in Convolutional Neural Networks for Memory System Optimization. IBM, Kyungpook National University
Tags HOP: Heterogeneity-Aware Decentralized Training. USC, THU
Tags Astra: Exploiting Predictability to Optimize Deep Learning. Microsoft
Tags ADMM-NN: An Algorithm-Hardware Co-Design Framework of DNNs Using Alternating Direction Methods of Multipliers. Northeastern, Syracuse, SUNY, Buffalo, USC
Tags DeepSigns: An End-to-End Watermarking Framework for Protecting the Ownership of Deep Neural Networks. UCSD

2020

Tags - Title Authors Area Organization
Tags Shredder: Learning Noise Distributions to Protect Inference Privacy. UCSD
Tags DNNGuard: An Elastic Heterogeneous DNN Accelerator Architecture against Adversarial Attacks. CAS, USC
Tags Interstellar: Using Halide’s Scheduling Language to Analyze DNN Accelerators. Stanford, THU
Tags DeepSniffer: A DNN Model Extraction Framework Based on Learning Architectural Hints. UCSB
Tags Prague: High-Performance Heterogeneity-Aware Asynchronous Decentralized Training. USC
Tags PatDNN: Achieving Real-Time DNN Execution on Mobile Devices with Pattern-based Weight Pruning. College of William and Mary, Northeastern , USC
Tags Capuchin: Tensor-based GPU Memory Management for Deep Learning. HUST, MSRA, USC
Tags NeuMMU: Architectural Support for Efficient Address Translations in Neural Processing Units. KAIST
Tags FlexTensor: An Automatic Schedule Exploration and Optimization Framework for Tensor Computation on Heterogeneous System. PKU

MICRO

2014

Tags - Title Authors Area Organization
Tags DaDianNao: A Machine-Learning Supercomputer. CAS, Inria, Inner Mongolia University

2016

Tags - Title Authors Area Organization
Tags From High-Level Deep Neural Models to FPGAs. Georgia Institute of Technology, Intel
Tags vDNN: Virtualized Deep Neural Networks for Scalable, Memory-Efficient Neural Network Design. NVIDIA
Tags Stripes: Bit-Serial Deep Neural Network Computing. University of Toronto, University of British Columbia
Tags Cambricon-X: An Accelerator for Sparse Neural Networks. Chinese Academy of Sciences
Tags NEUTRAMS: Neural Network Transformation and Co-design under Neuromorphic Hardware Constraints. Tsinghua University, UCSB
Tags Fused-Layer CNN Accelerators. Stony Brook University
Tags Bridging the I/O Performance Gap for Big Data Workloads: A New NVDIMM-based Approach. The Hong Kong Polytechnic University, NSF/University of Florida
Tags A Patch Memory System For Image Processing and Computer Vision. NVIDIA
Tags An Ultra Low-Power Hardware Accelerator for Automatic Speech Recognition. Universitat Politecnica de Catalunya
Tags Perceptron Learning for Reuse Prediction. TAMU, Intel Labs
Tags A Cloud-Scale Acceleration Architecture. Microsoft Research
Tags Reducing Data Movement Energy via Online Data Clustering and Encoding. University of Rochester
Tags The Microarchitecture of a Real-time Robot Motion Planning Accelerator. Duke University
Tags Chameleon: Versatile and Practical Near-DRAM Acceleration Architecture for Large Memory Systems. UIUC, Seoul National University

2017

Tags - Title Authors Area Organization
Tags Bit-Pragmatic Deep Neural Network Computing. NVIDIA, University of Toronto
Tags CirCNN: Accelerating and Compressing Deep Neural Networks Using Block-Circulant Weight Matrices. Syracuse University, City University of New York, USC, California State University, Northeastern University
Tags DRISA: A DRAM-based Reconfigurable In-Situ Accelerator. UCSB, Samsung
Tags Scale-Out Acceleration for Machine Learning. Georgia Tech, UCSD
Tags DeftNN: Addressing Bottlenecks for DNN Execution on GPUs via Synapse Vector Elimination and Near-compute Data Fission. Univ. of Michigan, Univ. of Nevada
Tags Data Movement Aware Computation Partitioning. PSU, TOBB University of Economics and Technology
Tags Partition computation on a manycore system for near data processing. PSU, TOBB University of Economics and Technology

2018

Tags - Title Authors Area Organization
Tags Addressing Irregularity in Sparse Neural Networks: A Cooperative Software/Hardware Approach. USTC, CAS
Tags Diffy: a Deja vu-Free Differential Deep Neural Network Accelerator. University of Toronto
Tags Beyond the Memory Wall: A Case for Memory-centric HPC System for Deep Learning. KAIST
Tags Towards Memory Friendly Long-Short Term Memory Networks(LSTMs) on Mobile GPUs. University of Houston, Capital Normal University
Tags A Network-Centric Hardware/Algorithm Co-Design to Accelerate Distributed Training of Deep Neural Networks. UIUC, THU, SJTU, Intel, UCSD
Tags PermDNN: Efficient Compressed Deep Neural Network Architecture with Permuted Diagonal Matrices. City University of New York, University of Minnesota, USC
Tags GeneSys: Enabling Continuous Learning through Neural Network Evolution in Hardware. Georgia Tech
Tags Processing-in-Memory for Energy-efficient Neural Network Training: A Heterogeneous Approach. UCM, UCSD, UCSC
Tags LerGAN: A Zero-free, Low Data Movement and PIM-based GAN Architecture. THU, University of Florida
Tags Multi-dimensional Parallel Training of Winograd Layer through Distributed Near-Data Processing. KAIST
Tags SCOPE: A Stochastic Computing Engine for DRAM-based In-situ Accelerator. UCSB, Samsung
Tags Morph: Flexible Acceleration for 3D CNN-based Video Understanding. UIUC
Tags Inter-thread Communication in Multithreaded, Reconfigurable Coarse-grain Arrays. Technion
Tags An Architectural Framework for Accelerating Dynamic Parallel Algorithms on Reconfigurable Hardware. Cornell

2019

Tags - Title Authors Area Organization
Tags Wire-Aware Architecture and Dataflow for CNN Accelerators. Utah
Tags ShapeShifter: Enabling Fine-Grain Data Width Adaptation in Deep Learning. Toronto
Tags Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture. NVIDIA
Tags ZCOMP: Reducing DNN Cross-Layer Memory Footprint Using Vector Extensions. Google, Intel
Tags Boosting the Performance of CNN Accelerators with Dynamic Fine-Grained Channel Gating. Cornell
Tags SparTen: A Sparse Tensor Accelerator for Convolutional Neural Networks. Purdue
Tags EDEN: Enabling Approximate DRAM for DNN Inference using Error-Resilient Neural Networks. ETHZ, CMU
Tags eCNN: a Block-Based and Highly-Parallel CNN Accelerator for Edge Inference. NTHU
Tags TensorDIMM: A Practical Near-Memory Processing Architecture for Embeddings and Tensor Operations in Deep Learning. KAIST
Tags Understanding Reuse, Performance, and Hardware Cost of DNN Dataflows: A Data-Centric Approach. Georgia Tech, NVIDIA
Tags MaxNVM: Maximizing DNN Storage Density and Inference Efficiency with Sparse Encoding and Error Mitigation. Harvard, Facebook
Tags Neuron-Level Fuzzy Memoization in RNNs. UPC
Tags Manna: An Accelerator for Memory-Augmented Neural Networks. Purdue, Intel
Tags eAP: A Scalable and Efficient In-Memory Accelerator for Automata Processing. Virginia
Tags ComputeDRAM: In-Memory Compute Using Off-the-Shelf DRAMs. Princeton
Tags ExTensor: An Accelerator for Sparse Tensor Algebra. UIUC, NVIDIA
Tags Efficient SpMV Operation for Large and Highly Sparse Matrices Using Scalable Multi-Way Merge Parallelization. CMU
Tags Sparse Tensor Core: Algorithm and Hardware Co-Design for Vector-wise Sparse Neural Networks on Modern GPUs. UCSB, Alibaba
Tags DynaSprint: Microarchitectural Sprints with Dynamic Utility and Thermal Management. Waterloo, ARM, Duke
Tags MEDAL: Scalable DIMM based Near Data Processing Accelerator for DNA Seeding Algorithm. UCSB, ICT
Tags Tigris: Architecture and Algorithms for 3D Perception in Point Clouds. Rochester
Tags ASV: Accelerated Stereo Vision System. Rochester
Tags Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach. UCSB, ICT

HPCA

2016

Tags - Title Authors Area Organization
Tags A Performance Analysis Framework for Optimizing OpenCL Applications on FPGAs. Nanyang Technological University, HKUST, Cornell University
Tags TABLA: A Unified Template-based Architecture for Accelerating Statistical Machine Learning. Georgia Institute of Technology
Tags Memristive Boltzmann Machine: A Hardware Accelerator for Combinatorial Optimization and Deep Learning. University of Rochester

2017

Tags - Title Authors Area Organization
Tags FlexFlow: A Flexible Dataflow Accelerator Architecture for Convolutional Neural Networks. Chinese Academy of Sciences
Tags PipeLayer: A Pipelined ReRAM-Based Accelerator for Deep Learning. University of Pittsburgh, University of Southern California
Tags Towards Pervasive and User Satisfactory CNN across GPU Microarchitectures. University of Florida
Tags Supporting Address Translation for Accelerator-Centric Architectures. UCLA

2018

Tags - Title Authors Area Organization
Tags Making Memristive Neural Network Accelerators Reliable. University of Rochester
Tags Towards Efficient Microarchitectural Design for Accelerating Unsupervised GAN-based Deep Learning. University of Florida
Tags Compressing DMA Engine: Leveraging Activation Sparsity for Training Deep Neural Networks. POSTECH, NVIDIA, UT-Austin
Tags In-situ AI: Towards Autonomous and Incremental Deep Learning for IoT Systems. University of Florida, Chongqing University, Capital Normal University
Tags RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-Memory Databases. PKU, NUDT, Duke, UCLA, PSU
Tags GraphR: Accelerating Graph Processing Using ReRAM. Duke, USC, Binghamton University SUNY
Tags GraphP: Reducing Communication of PIM-based Graph Processing with Efficient Data Partition. THU, USC, Stanford
Tags PM3: Power Modeling and Power Management for Processing-in-Memory. PKU

2019

Tags - Title Authors Area Organization
Tags HyPar: Towards Hybrid Parallelism for Deep Learning Accelerator Array. Duke, USC
Tags E-RNN: Design Optimization for Efficient Recurrent Neural Networks in FPGAs. Syracuse University, Northeastern University, Florida International University, USC, University at Buffalo
Tags Bit Prudent In-Cache Acceleration of Deep Convolutional Neural Networks. Michigan, Intel
Tags Shortcut Mining: Exploiting Cross-layer Shortcut Reuse in DCNN Accelerators. OSU
Tags NAND-Net: Minimizing Computational Complexity of In-Memory Processing for Binary Neural Networks. KAIST
Tags Kelp: QoS for Accelerators in Machine Learning Platforms. Microsoft, Google, UT Austin
Tags Machine Learning at Facebook: Understanding Inference at the Edge. Facebook
Tags The Accelerator Wall: Limits of Chip Specialization. Princeton

2020

Tags - Title Authors Area Organization
Tags Deep Learning Acceleration with Neuron-to-Memory Transformation. UCSD
Tags HyGCN: A GCN Accelerator with Hybrid Architecture. ICT, UCSB
Tags SIGMA: A Sparse and Irregular GEMM Accelerator with Flexible Interconnects for DNN Training. Georgia Tech
Tags PREMA: A Predictive Multi-task Scheduling Algorithm For Preemptible NPUs. KAIST
Tags ALRESCHA: A Lightweight Reconfigurable Sparse-Computation Accelerator. Georgia Tech
Tags SpArch: Efficient Architecture for Sparse Matrix Multiplication. MIT, NVIDIA
Tags A3: Accelerating Attention Mechanisms in Neural Networks with Approximation. SNU
Tags AccPar: Tensor Partitioning for Heterogeneous Deep Learning Accelerator Arrays. Duke, USC
Tags PIXEL: Photonic Neural Network Accelerator. Ohio, George Washington
Tags The Architectural Implications of Facebook’s DNN-based Personalized Recommendation. Facebook
Tags Enabling Highly Efficient Capsule Networks Processing Through A PIM-Based Architecture Design. Houston
Tags Missing the Forest for the Trees: End-to-End AI Application Performance in Edge Data. UT Austin, Intel
Tags Communication Lower Bound in Convolution Accelerators. ICT, THU
Tags Fulcrum: a Simplified Control and Access Mechanism toward Flexible and Practical in-situ Accelerators. Virginia, UCSB, Micron
Tags EFLOPS: Algorithm and System Co-design for a High Performance Distributed Training Platform. Alibaba
Tags Experiences with ML-Driven Design: A NoC Case Study. AMD
Tags Tensaurus: A Versatile Accelerator for Mixed Sparse-Dense Tensor Computations. Cornell, Intel
Tags A Hybrid Systolic-Dataflow Architecture for Inductive Matrix Algorithms. UCLA
Tags A Deep Reinforcement Learning Framework for Architectural Exploration: A Routerless NoC Case Study. USC, OSU
Tags QuickNN: Memory and Performance Optimization of k-d Tree Based Nearest Neighbor Search for 3D Point Clouds. Umich, General Motors
Tags Orbital Edge Computing: Machine Inference in Space. CMU
Tags A Scalable and Efficient in-Memory Interconnect Architecture for Automata Processing. Virginia
Tags Techniques for Reducing the Connected-Standby Energy Consumption of Mobile Devices. ETHZ, Cyprus, CMU

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.