Giter Club home page Giter Club logo

Comments (3)

andreaskurth avatar andreaskurth commented on May 27, 2024

@WRoenninger: What do you think?

from axi.

WRoenninger avatar WRoenninger commented on May 27, 2024

Yes, as you mentioned, the intend was to have the default ports runtime configurable. However I have not seen this feature being used dynamically yet and see the overhead that arises when trying to use it.

I am fine with changing it to a parameter. However this should probably be done after #157 as there is the whole config struct replacement going on.

Also this ties in with an issue I see with the addr_decode from common_cells. The recommended struct definition there is:

  typedef struct packed {
    int unsigned idx;
    addr_t       start_addr;
    addr_t       end_addr;
  } rule_t;

The main issue that can happen with this struct definition is that some tools do not like the int unsigned inside of a packed structure. For the default mst_port functionality it should not be an issue, as the decoder requires the cf_math_pkg::idx_width(NumIdx) typed index for its default mapping anyway, because the decoder does a typecast form addr_map_i.idx to this idx_t when it is checking the address map for a rule.
I am wondering if it would be a good idea to recommend defining the addr_map_t.idx field to be of type idx_t or a set a fixed width logic in the example rules found in axi_pkg?
So e.g. the rule xbar_rule_32_t would then read:

  typedef struct packed {
    logic [31:0] idx;
    logic [31:0] start_addr;
    logic [31:0] end_addr;
  } xbar_rule_32_t;

This struct definition change should not have any influence on the behavior of addr_decode and help with tool compatibility.

from axi.

andreaskurth avatar andreaskurth commented on May 27, 2024

However this should probably be done after #157 as there is the whole config struct replacement going on.

Okay, I agree.

I am wondering if it would be a good idea to recommend defining the addr_map_t.idx field to be of type idx_t or a set a fixed width logic in the example rules found in axi_pkg?

Yes, makes sense to me.

from axi.

Related Issues (20)

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.