Giter Club home page Giter Club logo

Comments (6)

kawasin73 avatar kawasin73 commented on June 22, 2024

Quartus によるテストテンプレートの自動生成

http://www.altima.jp/column/fpga_edison/testbench.html
便利そうだけど、チェックは人の手でやることに変わりはない

http://www.cqpub.co.jp/hanbai/books/MDD/MDDZ201010/DDT.pdf
http://www.cqpub.co.jp/dwm/contents/0130/dwm013001260.pdf
テストベンチの結果を用意したレジスタに読み込んで、比較器でチェックする方法だけど、テストケースが増えれば増えるほど辛そう

from computer-architecture-3s.

kawasin73 avatar kawasin73 commented on June 22, 2024

VUnit

https://github.com/VUnit/vunit
https://vunit.github.io/

System Verilog のため使えない

from computer-architecture-3s.

kawasin73 avatar kawasin73 commented on June 22, 2024

SVUnit

https://github.com/nosnhojn/svunit-code/
https://www.linkedin.com/pulse/svunit-real-verilog-test-framework-neil-johnson

System Verilog のため使えない

from computer-architecture-3s.

kawasin73 avatar kawasin73 commented on June 22, 2024

Cocotb

https://github.com/potentialventures/cocotb

CLI でできる。
ModelSim に対応
Verilog に対応
良さそう。

from computer-architecture-3s.

kawasin73 avatar kawasin73 commented on June 22, 2024

WIP cocotbのインストール

vagrant ssh

# URL: http://cocotb.readthedocs.io/en/latest/quickstart.html
# URL: https://github.com/potentialventures/cocotb/issues/396
$ sudo apt-get install -y build-essential swig python-dev libx32gcc1 gcc-multilib libstdc++-5-dev:i386 g++-multilib lib32z1 lib32stdc++6 lib32gcc1 expat:i386 fontconfig:i386 libfreetype6:i386 libexpat1:i386 libc6:i386 libgtk-3-0:i386 libcanberra0:i386 libpng12-0:i386 libice6:i386 libsm6:i386 libncurses5:i386 zlib1g:i386 libx11-6:i386 libxau6:i386 libxdmcp6:i386 libxext6:i386 libxft2:i386 libxrender1:i386 libxt6:i386 libxtst6:i386
# Install Python 2.7.9 on 32bit arch
$ wget https://www.python.org/ftp/python/2.7.9/Python-2.7.9.tgz
$ tar xvf Python-2.7.9.tgz
$ cd Python-2.7.9
$ echo "export PY32_DIR=/opt/pym32" >> ~/.bash_profile && source ~/.bash_profile
$ ./configure CC="gcc -m32" LDFLAGS="-L/lib32 -L/usr/lib32 -Lpwd/lib32 -Wl,-rpath,/lib32 -Wl,-rpath,$PY32_DIR/lib" --prefix=$PY32_DIR --enable-shared
$ make
$ sudo make install
$ cd ~ && git clone https://github.com/potentialventures/cocotb.git
$ echo 'export PATH="$HOME/intelFPGA_lite/18.0/modelsim_ase/linuxaloem:$PATH"' >> ~/.bash_profile

テストの実行

ARCH=i686 SIM=modelsim make

from computer-architecture-3s.

kawasin73 avatar kawasin73 commented on June 22, 2024

テストは、自前のアセンブラコードで行った。

from computer-architecture-3s.

Related Issues (1)

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.